\ * * * * * DO NOT EDIT! * * * * * \ generated by: bin/snarf-constants.pl macro : FSR0 0 ; : FSR1 1 ; : FSR2 2 ; : FAST 1 ; : W 0 ; : A 0 ; : ACCESS 0 ; : BANKED 1 ; : TOSU #x0FFF ; : TOSH #x0FFE ; : TOSL #x0FFD ; : STKPTR #x0FFC ; : PCLATU #x0FFB ; : PCLATH #x0FFA ; : PCL #x0FF9 ; : TBLPTRU #x0FF8 ; : TBLPTRH #x0FF7 ; : TBLPTRL #x0FF6 ; : TABLAT #x0FF5 ; : PRODH #x0FF4 ; : PRODL #x0FF3 ; : INTCON #x0FF2 ; : INTCON1 #x0FF2 ; : INTCON2 #x0FF1 ; : INTCON3 #x0FF0 ; : INDF0 #x0FEF ; : POSTINC0 #x0FEE ; : POSTDEC0 #x0FED ; : PREINC0 #x0FEC ; : PLUSW0 #x0FEB ; : FSR0H #x0FEA ; : FSR0L #x0FE9 ; : WREG #x0FE8 ; : INDF1 #x0FE7 ; : POSTINC1 #x0FE6 ; : POSTDEC1 #x0FE5 ; : PREINC1 #x0FE4 ; : PLUSW1 #x0FE3 ; : FSR1H #x0FE2 ; : FSR1L #x0FE1 ; : BSR #x0FE0 ; : INDF2 #x0FDF ; : POSTINC2 #x0FDE ; : POSTDEC2 #x0FDD ; : PREINC2 #x0FDC ; : PLUSW2 #x0FDB ; : FSR2H #x0FDA ; : FSR2L #x0FD9 ; : STATUS #x0FD8 ; : TMR0H #x0FD7 ; : TMR0L #x0FD6 ; : T0CON #x0FD5 ; : OSCCON #x0FD3 ; : LVDCON #x0FD2 ; : WDTCON #x0FD1 ; : RCON #x0FD0 ; : TMR1H #x0FCF ; : TMR1L #x0FCE ; : T1CON #x0FCD ; : TMR2 #x0FCC ; : PR2 #x0FCB ; : T2CON #x0FCA ; : SSPBUF #x0FC9 ; : SSPADD #x0FC8 ; : SSPSTAT #x0FC7 ; : SSPCON1 #x0FC6 ; : SSPCON2 #x0FC5 ; : ADRESH #x0FC4 ; : ADRESL #x0FC3 ; : ADCON0 #x0FC2 ; : ADCON1 #x0FC1 ; : CCPR1H #x0FBF ; : CCPR1L #x0FBE ; : CCP1CON #x0FBD ; : CCPR2H #x0FBC ; : CCPR2L #x0FBB ; : CCP2CON #x0FBA ; : TMR3H #x0FB3 ; : TMR3L #x0FB2 ; : T3CON #x0FB1 ; : SPBRG #x0FAF ; : RCREG #x0FAE ; : TXREG #x0FAD ; : TXSTA #x0FAC ; : RCSTA #x0FAB ; : EEADR #x0FA9 ; : EEDATA #x0FA8 ; : EECON2 #x0FA7 ; : EECON1 #x0FA6 ; : IPR2 #x0FA2 ; : PIR2 #x0FA1 ; : PIE2 #x0FA0 ; : IPR1 #x0F9F ; : PIR1 #x0F9E ; : PIE1 #x0F9D ; : TRISE #x0F96 ; : TRISD #x0F95 ; : TRISC #x0F94 ; : TRISB #x0F93 ; : TRISA #x0F92 ; : LATE #x0F8D ; : LATD #x0F8C ; : LATC #x0F8B ; : LATB #x0F8A ; : LATA #x0F89 ; : PORTE #x0F84 ; : PORTD #x0F83 ; : PORTC #x0F82 ; : PORTB #x0F81 ; : PORTA #x0F80 ; : STKFUL #x0007 ; : STKUNF #x0006 ; : GIE #x0007 ; : GIEH #x0007 ; : PEIE #x0006 ; : GIEL #x0006 ; : TMR0IE #x0005 ; : T0IE #x0005 ; : INT0IE #x0004 ; : INT0E #x0004 ; : RBIE #x0003 ; : TMR0IF #x0002 ; : T0IF #x0002 ; : INT0IF #x0001 ; : INT0F #x0001 ; : RBIF #x0000 ; : NOT_RBPU #x0007 ; : RBPU #x0007 ; : INTEDG0 #x0006 ; : INTEDG1 #x0005 ; : INTEDG2 #x0004 ; : TMR0IP #x0002 ; : T0IP #x0002 ; : RBIP #x0000 ; : INT2IP #x0007 ; : INT1IP #x0006 ; : INT2IE #x0004 ; : INT1IE #x0003 ; : INT2IF #x0001 ; : INT1IF #x0000 ; : N #x0004 ; : OV #x0003 ; : Z #x0002 ; : DC #x0001 ; : C #x0000 ; : TMR0ON #x0007 ; : T08BIT #x0006 ; : T0CS #x0005 ; : T0SE #x0004 ; : PSA #x0003 ; : T0PS2 #x0002 ; : T0PS1 #x0001 ; : T0PS0 #x0000 ; : SCS #x0000 ; : IRVST #x0005 ; : LVDEN #x0004 ; : LVDL3 #x0003 ; : LVDL2 #x0002 ; : LVDL1 #x0001 ; : LVDL0 #x0000 ; : SWDTE #x0000 ; : SWDTEN #x0000 ; : IPEN #x0007 ; : NOT_RI #x0004 ; : RI #x0004 ; : NOT_TO #x0003 ; : TO #x0003 ; : NOT_PD #x0002 ; : PD #x0002 ; : NOT_POR #x0001 ; : POR #x0001 ; : NOT_BOR #x0000 ; : BOR #x0000 ; : RD16 #x0007 ; : T1CKPS1 #x0005 ; : T1CKPS0 #x0004 ; : T1OSCEN #x0003 ; : NOT_T1SYNC #x0002 ; : T1SYNC #x0002 ; : T1INSYNC #x0002 ; : TMR1CS #x0001 ; : TMR1ON #x0000 ; : TOUTPS3 #x0006 ; : TOUTPS2 #x0005 ; : TOUTPS1 #x0004 ; : TOUTPS0 #x0003 ; : TMR2ON #x0002 ; : T2CKPS1 #x0001 ; : T2CKPS0 #x0000 ; : SMP #x0007 ; : CKE #x0006 ; : D #x0005 ; : I2C_DAT #x0005 ; : NOT_A #x0005 ; : NOT_ADDRESS #x0005 ; : D_A #x0005 ; : DATA_ADDRESS #x0005 ; : P #x0004 ; : I2C_STOP #x0004 ; : S #x0003 ; : I2C_START #x0003 ; : R #x0002 ; : I2C_READ #x0002 ; : NOT_W #x0002 ; : NOT_WRITE #x0002 ; : R_W #x0002 ; : READ_WRITE #x0002 ; : UA #x0001 ; : BF #x0000 ; : WCOL #x0007 ; : SSPOV #x0006 ; : SSPEN #x0005 ; : CKP #x0004 ; : SSPM3 #x0003 ; : SSPM2 #x0002 ; : SSPM1 #x0001 ; : SSPM0 #x0000 ; : GCEN #x0007 ; : ACKSTAT #x0006 ; : ACKDT #x0005 ; : ACKEN #x0004 ; : RCEN #x0003 ; : PEN #x0002 ; : RSEN #x0001 ; : SEN #x0000 ; : ADCS1 #x0007 ; : ADCS0 #x0006 ; : CHS2 #x0005 ; : CHS1 #x0004 ; : CHS0 #x0003 ; : GO #x0002 ; : NOT_DONE #x0002 ; : DONE #x0002 ; : GO_DONE #x0002 ; : ADON #x0000 ; : ADFM #x0007 ; : ADCS2 #x0006 ; : PCFG3 #x0003 ; : PCFG2 #x0002 ; : PCFG1 #x0001 ; : PCFG0 #x0000 ; : DC1B1 #x0005 ; : CCP1X #x0005 ; : DC1B0 #x0004 ; : CCP1Y #x0004 ; : CCP1M3 #x0003 ; : CCP1M2 #x0002 ; : CCP1M1 #x0001 ; : CCP1M0 #x0000 ; : DC2B1 #x0005 ; : CCP2X #x0005 ; : DC2B0 #x0004 ; : CCP2Y #x0004 ; : CCP2M3 #x0003 ; : CCP2M2 #x0002 ; : CCP2M1 #x0001 ; : CCP2M0 #x0000 ; : RD16 #x0007 ; : T3CCP2 #x0006 ; : T3CKPS1 #x0005 ; : T3CKPS0 #x0004 ; : T3CCP1 #x0003 ; : NOT_T3SYNC #x0002 ; : T3SYNC #x0002 ; : T3INSYNC #x0002 ; : TMR3CS #x0001 ; : TMR3ON #x0000 ; : CSRC #x0007 ; : TX9 #x0006 ; : NOT_TX8 #x0006 ; : TX8_9 #x0006 ; : TXEN #x0005 ; : SYNC #x0004 ; : BRGH #x0002 ; : TRMT #x0001 ; : TX9D #x0000 ; : TXD8 #x0000 ; : SPEN #x0007 ; : RX9 #x0006 ; : RC9 #x0006 ; : NOT_RC8 #x0006 ; : RC8_9 #x0006 ; : SREN #x0005 ; : CREN #x0004 ; : ADDEN #x0003 ; : FERR #x0002 ; : OERR #x0001 ; : RX9D #x0000 ; : RCD8 #x0000 ; : EEIP #x0004 ; : BCLIP #x0003 ; : LVDIP #x0002 ; : TMR3IP #x0001 ; : CCP2IP #x0000 ; : EEIF #x0004 ; : BCLIF #x0003 ; : LVDIF #x0002 ; : TMR3IF #x0001 ; : CCP2IF #x0000 ; : EEIE #x0004 ; : BCLIE #x0003 ; : LVDIE #x0002 ; : TMR3IE #x0001 ; : CCP2IE #x0000 ; : PSPIP #x0007 ; : ADIP #x0006 ; : RCIP #x0005 ; : TXIP #x0004 ; : SSPIP #x0003 ; : CCP1IP #x0002 ; : TMR2IP #x0001 ; : TMR1IP #x0000 ; : PSPIF #x0007 ; : ADIF #x0006 ; : RCIF #x0005 ; : TXIF #x0004 ; : SSPIF #x0003 ; : CCP1IF #x0002 ; : TMR2IF #x0001 ; : TMR1IF #x0000 ; : PSPIE #x0007 ; : ADIE #x0006 ; : RCIE #x0005 ; : TXIE #x0004 ; : SSPIE #x0003 ; : CCP1IE #x0002 ; : TMR2IE #x0001 ; : TMR1IE #x0000 ; : IBF #x0007 ; : OBF #x0006 ; : IBOV #x0005 ; : PSPMODE #x0004 ; : TRISE2 #x0002 ; : TRISE1 #x0001 ; : TRISE0 #x0000 ; : EEPGD #x0007 ; : CFGS #x0006 ; : FREE #x0004 ; : WRERR #x0003 ; : WREN #x0002 ; : WR #x0001 ; : RD #x0000 ; : RA0 0 ; : AN0 0 ; : RA1 1 ; : AN1 1 ; : RA2 2 ; : AN2 2 ; : VREFM 2 ; : RA3 3 ; : AN3 3 ; : VREFP 3 ; : RA4 4 ; : T0CKI 4 ; : RA5 5 ; : AN4 5 ; : SS 5 ; : LVDIN 5 ; : RA6 6 ; : OSC2 6 ; : CLKO 6 ; : RB0 0 ; : INT0 0 ; : RB1 1 ; : INT1 1 ; : RB2 2 ; : INT2 2 ; : RB3 3 ; : CCP2A 3 ; : RB4 4 ; : RB5 5 ; : RB6 6 ; : RB7 7 ; : RC0 0 ; : T1OSO 0 ; : T1CKI 0 ; : RC1 1 ; : T1OSI 1 ; : CCP2 1 ; : RC2 2 ; : CCP1 2 ; : RC3 3 ; : SCK 3 ; : SCL 3 ; : RC4 4 ; : SDI 4 ; : SDA 4 ; : RC5 5 ; : SDO 5 ; : RC6 6 ; : TX 6 ; : CK 6 ; : RC7 7 ; : RX 7 ; : RD0 0 ; : PSP0 0 ; : RD1 1 ; : PSP1 1 ; : RD2 2 ; : PSP2 2 ; : RD3 3 ; : PSP3 3 ; : RD4 4 ; : PSP4 4 ; : RD5 5 ; : PSP5 5 ; : RD6 6 ; : PSP6 6 ; : RD7 7 ; : PSP7 7 ; : RE0 0 ; : RD 0 ; : AN5 0 ; : RE1 1 ; : WR 1 ; : AN6 1 ; : RE2 2 ; : CS 2 ; : AN7 2 ; : _OSCS_ON_1H #xDF ; : _OSCS_OFF_1H #xFF ; : _LP_OSC_1H #xF8 ; : _XT_OSC_1H #xF9 ; : _HS_OSC_1H #xFA ; : _RC_OSC_1H #xFB ; : _EC_OSC_1H #xFC ; : _ECIO_OSC_1H #xFD ; : _HSPLL_OSC_1H #xFE ; : _RCIO_OSC_1H #xFF ; : _BOR_ON_2L #xFF ; : _BOR_OFF_2L #xFD ; : _PWRT_OFF_2L #xFF ; : _PWRT_ON_2L #xFE ; : _BORV_20_2L #xFF ; : _BORV_27_2L #xFB ; : _BORV_42_2L #xF7 ; : _BORV_45_2L #xF3 ; : _WDT_ON_2H #xFF ; : _WDT_OFF_2H #xFE ; : _WDTPS_128_2H #xFF ; : _WDTPS_64_2H #xFD ; : _WDTPS_32_2H #xFB ; : _WDTPS_16_2H #xF9 ; : _WDTPS_8_2H #xF7 ; : _WDTPS_4_2H #xF5 ; : _WDTPS_2_2H #xF3 ; : _WDTPS_1_2H #xF1 ; : _CCP2MX_ON_3H #xFF ; : _CCP2MX_OFF_3H #xFE ; : _STVR_ON_4L #xFF ; : _STVR_OFF_4L #xFE ; : _LVP_ON_4L #xFF ; : _LVP_OFF_4L #xFB ; : _DEBUG_ON_4L #x7F ; : _DEBUG_OFF_4L #xFF ; : _CP0_ON_5L #xFE ; : _CP0_OFF_5L #xFF ; : _CP1_ON_5L #xFD ; : _CP1_OFF_5L #xFF ; : _CP2_ON_5L #xFB ; : _CP2_OFF_5L #xFF ; : _CP3_ON_5L #xF7 ; : _CP3_OFF_5L #xFF ; : _CPB_ON_5H #xBF ; : _CPB_OFF_5H #xFF ; : _CPD_ON_5H #x7F ; : _CPD_OFF_5H #xFF ; : _WRT0_ON_6L #xFE ; : _WRT0_OFF_6L #xFF ; : _WRT1_ON_6L #xFD ; : _WRT1_OFF_6L #xFF ; : _WRT2_ON_6L #xFB ; : _WRT2_OFF_6L #xFF ; : _WRT3_ON_6L #xF7 ; : _WRT3_OFF_6L #xFF ; : _WRTC_ON_6H #xDF ; : _WRTC_OFF_6H #xFF ; : _WRTB_ON_6H #xBF ; : _WRTB_OFF_6H #xFF ; : _WRTD_ON_6H #x7F ; : _WRTD_OFF_6H #xFF ; : _EBTR0_ON_7L #xFE ; : _EBTR0_OFF_7L #xFF ; : _EBTR1_ON_7L #xFD ; : _EBTR1_OFF_7L #xFF ; : _EBTR2_ON_7L #xFB ; : _EBTR2_OFF_7L #xFF ; : _EBTR3_ON_7L #xF7 ; : _EBTR3_OFF_7L #xFF ; : _EBTRB_ON_7H #xBF ; : _EBTRB_OFF_7H #xFF ; : _CONFIG1L #x300000 ; : _CONFIG1H #x300001 ; : _CONFIG2L #x300002 ; : _CONFIG2H #x300003 ; : _CONFIG3L #x300004 ; : _CONFIG3H #x300005 ; : _CONFIG4L #x300006 ; : _CONFIG4H #x300007 ; : _CONFIG5L #x300008 ; : _CONFIG5H #x300009 ; : _CONFIG6L #x30000A ; : _CONFIG6H #x30000B ; : _CONFIG7L #x30000C ; : _CONFIG7H #x30000D ; : _DEVID1 #x3FFFFE ; : _DEVID2 #x3FFFFF ; : _IDLOC0 #x200000 ; : _IDLOC1 #x200001 ; : _IDLOC2 #x200002 ; : _IDLOC3 #x200003 ; : _IDLOC4 #x200004 ; : _IDLOC5 #x200005 ; : _IDLOC6 #x200006 ; : _IDLOC7 #x200007 ; forth